数据搜索系统,热门电子元器件搜索
  Chinese  ▼
ALLDATASHEETCN.COM

X  

EP3C80 数据表(PDF) 82 Page - Altera Corporation

部件名 EP3C80
功能描述  Cyclone III Device Family Overview
Download  274 Pages
Scroll/Zoom Zoom In 100%  Zoom Out
制造商  ALTERA [Altera Corporation]
网页  http://www.altera.com
标志 ALTERA - Altera Corporation

EP3C80 数据表(HTML) 82 Page - Altera Corporation

Back Button EP3C80 Datasheet HTML 78Page - Altera Corporation EP3C80 Datasheet HTML 79Page - Altera Corporation EP3C80 Datasheet HTML 80Page - Altera Corporation EP3C80 Datasheet HTML 81Page - Altera Corporation EP3C80 Datasheet HTML 82Page - Altera Corporation EP3C80 Datasheet HTML 83Page - Altera Corporation EP3C80 Datasheet HTML 84Page - Altera Corporation EP3C80 Datasheet HTML 85Page - Altera Corporation EP3C80 Datasheet HTML 86Page - Altera Corporation Next Button
Zoom Inzoom in Zoom Outzoom out
 82 / 274 page
background image
5–22
Chapter 5: Clock Networks and PLLs in the Cyclone III Device Family
Programmable Bandwidth
Cyclone III Device Handbook
July 2012
Altera Corporation
Volume 1
Figure 5–17 shows how the VCO frequency gradually decreases when the primary
clock is lost and then increases as the VCO locks on to the secondary clock. After
the VCO locks on to the secondary clock, some overshoot can occur (an
over-frequency condition) in the VCO frequency.
Disable the system during switchover if the system is not tolerant to frequency
variations during the PLL resynchronization period. You can use the clkbad[0]
and clkbad[1] status signals to turn off the PFD (pfdena = 0) so the VCO
maintains its last frequency. You can also use the switchover state machine to
switch over to the secondary clock. Upon enabling the PFD, output clock enable
signals (clkena) can disable clock outputs during the switchover and
resynchronization period. After the lock indication is stable, the system can
re-enable the output clock or clocks.
Programmable Bandwidth
The PLL bandwidth is the measure of the PLL’s ability to track the input clock and its
associated jitter. Cyclone III device family PLLs provide advanced control of the PLL
bandwidth using the programmable characteristics of the PLL loop, including loop
filter and charge pump. The closed-loop gain 3-dB frequency in the PLL determines
the PLL bandwidth. The bandwidth is approximately the unity gain point for open
loop PLL response.
Phase Shift Implementation
Phase shift is used to implement a robust solution for clock delays in the Cyclone III
device family. Phase shift is implemented with a combination of the VCO phase
output and the counter starting time. The VCO phase output and counter starting
time are the most accurate methods of inserting delays, because they are purely based
on counter settings, which are independent of process, voltage, and temperature.
You can phase shift the output clocks from the Cyclone III device family PLLs in
either:
Fine resolution using VCO phase taps, or
Coarse resolution using counter starting time
Figure 5–17. VCO Switchover Operating Frequency
ΔFvco
Primary Clock Stops Running
Switchover Occurs
VCO Tracks Secondary Clock
Frequency Overshoot


类似零件编号 - EP3C80

制造商部件名数据表功能描述
logo
Altera Corporation
EP3C80 ALTERA-EP3C80 Datasheet
157Kb / 7P
   Cyclone Series Device Thermal Resistance
EP3C80 ALTERA-EP3C80 Datasheet
778Kb / 34P
   Cyclone III Device Data Sheet
EP3C80 ALTERA-EP3C80 Datasheet
406Kb / 8P
   Cyclone III low-cost FPGAs
EP3C80 ALTERA-EP3C80 Datasheet
7Mb / 274P
   Cyclone III Device Handbook
EP3C80 ALTERA-EP3C80 Datasheet
7Mb / 274P
   This section provides a complete overview of all features relating to the Cyclone III device family
More results

类似说明 - EP3C80

制造商部件名数据表功能描述
logo
Altera Corporation
EP3C10E144C7N ALTERA-EP3C10E144C7N Datasheet
395Kb / 14P
   1. Cyclone III Device Family Overview
EP4CE10E22C8N ALTERA-EP4CE10E22C8N Datasheet
498Kb / 14P
   Cyclone IV FPGA Device Family Overview
EP4CE6E22C8 ALTERA-EP4CE6E22C8 Datasheet
498Kb / 14P
   Cyclone IV FPGA Device Family Overview
EP4CE6E22I7N ALTERA-EP4CE6E22I7N Datasheet
498Kb / 14P
   Cyclone IV FPGA Device Family Overview
EP4CE55F29I7 ALTERA-EP4CE55F29I7 Datasheet
372Kb / 14P
   Cyclone IV FPGA Device Family Overview
EP4CE10F17I7N ALTERA-EP4CE10F17I7N Datasheet
498Kb / 14P
   1. Cyclone IV FPGA Device Family Overview
EP3SL70F780C3N ALTERA-EP3SL70F780C3N Datasheet
201Kb / 16P
   Stratix III Device Family Overview
EP4CGX150CF23C8N ALTERA-EP4CGX150CF23C8N Datasheet
395Kb / 14P
   1. Cyclone IV FPGA Device Family Overview
EP4CE10U14I7N ALTERA-EP4CE10U14I7N Datasheet
372Kb / 14P
   1. Cyclone IV FPGA Device Family Overview
EP4CE55F23I7N ALTERA-EP4CE55F23I7N Datasheet
372Kb / 14P
   1. Cyclone IV FPGA Device Family Overview
More results


Html Pages

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100  ...More


数据表 下载

Go To PDF Page


链接网址




隐私政策
ALLDATASHEETCN.COM
ALLDATASHEET是否为您带来帮助?  [ DONATE ] 

关于 Alldatasheet   |   广告服务   |   联系我们   |   隐私政策   |   链接交换   |   制造商名单
All Rights Reserved©Alldatasheet.com


Mirror Sites
English : Alldatasheet.com  |   English : Alldatasheet.net  |   Chinese : Alldatasheetcn.com  |   German : Alldatasheetde.com  |   Japanese : Alldatasheet.jp
Russian : Alldatasheetru.com  |   Korean : Alldatasheet.co.kr  |   Spanish : Alldatasheet.es  |   French : Alldatasheet.fr  |   Italian : Alldatasheetit.com
Portuguese : Alldatasheetpt.com  |   Polish : Alldatasheet.pl  |   Vietnamese : Alldatasheet.vn
Indian : Alldatasheet.in  |   Mexican : Alldatasheet.com.mx  |   British : Alldatasheet.co.uk  |   New Zealand : Alldatasheet.co.nz
Family Site : ic2ic.com  |   icmetro.com