数据搜索系统,热门电子元器件搜索
  Chinese  ▼
ALLDATASHEETCN.COM

X  

ST6392 数据表(PDF) 3 Page - STMicroelectronics

部件名 ST6392
功能描述  8-BIT HCMOS MCUs FOR TV FREQUENCY SYNTHESIS WITH OSD
Download  68 Pages
Scroll/Zoom Zoom In 100%  Zoom Out
制造商  STMICROELECTRONICS [STMicroelectronics]
网页  http://www.st.com
标志 STMICROELECTRONICS - STMicroelectronics

ST6392 数据表(HTML) 3 Page - STMicroelectronics

  ST6392 Datasheet HTML 1Page - STMicroelectronics ST6392 Datasheet HTML 2Page - STMicroelectronics ST6392 Datasheet HTML 3Page - STMicroelectronics ST6392 Datasheet HTML 4Page - STMicroelectronics ST6392 Datasheet HTML 5Page - STMicroelectronics ST6392 Datasheet HTML 6Page - STMicroelectronics ST6392 Datasheet HTML 7Page - STMicroelectronics ST6392 Datasheet HTML 8Page - STMicroelectronics ST6392 Datasheet HTML 9Page - STMicroelectronics Next Button
Zoom Inzoom in Zoom Outzoom out
 3 / 68 page
background image
ST639x DATASHEET INDEX
Pages
ST6391, ST6392, ST6393
ST6395, ST6397, ST6399 . . .. . . . . . . . ... . . . . .. . .... .. . . . .
1
GENERAL DESCRIPTION . . . . . . . . . . . . .... . . . . . . . . . . . . . . . . ... . . .
3
PIN DESCRIPTION .. .. ... .. .. .. . . . . . . . ... .. .. ... .. .. . . . . . . .
5
ST639x CORE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
7
MEMORY SPACES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
10
INTERRUPT . . . .... . . .. . . . . . . . . ... . ... . . . . . . .. . ... . . . . .. .
17
RESET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
21
WAIT & STOP MODES
. . . . . . . . . . . . . . . . .... . . . . . . .. . . . . . . . . . . .
23
ON-CHIP CLOCK OSCILLATOR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
24
INPUT/OUTPUT PORTS
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
25
TIMERS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
28
HARDWARE ACTIVATED DIGITAL WATCHDOG FUNCTION . . . . . . ..... . . . . . . . .
31
SERIAL PERIPHERAL INTERFACE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
32
6-BIT PWM D/A CONVERTERS . . . . . . . . . . . . . . . . .... . . . . . . . . . ..... .
41
AFC A/D COMPARATOR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
41
DEDICATED LATCHES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..... .
42
ON-SCREEN DISPLAY (OSD) . . . . . .... . . . . ... ... . . . . .. . . . . . . . . . . .
43
SOFTWARE DESCRIPTION . . . . . ..... . . . . ... . . . . ... . . . . ... . . . . . .
52
ABSOLUTE MAXIMUM RATINGS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
57
PACKAGE MECHANICAL DATA
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
61
ORDERING INFORMATION TABLE . . . . . . . . . . . . . . . . . . . . . .... . . .. . . . .
64
®


类似零件编号 - ST6392

制造商部件名数据表功能描述
logo
STMicroelectronics
ST6306 STMICROELECTRONICS-ST6306 Datasheet
329Kb / 7P
   8-BIT HCMOS PIGGYBACK MCUs FOR TV APPLICATIONS
ST6307 STMICROELECTRONICS-ST6307 Datasheet
329Kb / 7P
   8-BIT HCMOS PIGGYBACK MCUs FOR TV APPLICATIONS
ST6308 STMICROELECTRONICS-ST6308 Datasheet
329Kb / 7P
   8-BIT HCMOS PIGGYBACK MCUs FOR TV APPLICATIONS
ST63126 STMICROELECTRONICS-ST63126 Datasheet
715Kb / 86P
   8-BIT HCMOS MCUs FOR TV FREQUENCY & VOLTAGE SYNTHESIS WITH OSD
ST63140 STMICROELECTRONICS-ST63140 Datasheet
715Kb / 86P
   8-BIT HCMOS MCUs FOR TV FREQUENCY & VOLTAGE SYNTHESIS WITH OSD
More results

类似说明 - ST6392

制造商部件名数据表功能描述
logo
STMicroelectronics
ST63140 STMICROELECTRONICS-ST63140 Datasheet
715Kb / 86P
   8-BIT HCMOS MCUs FOR TV FREQUENCY & VOLTAGE SYNTHESIS WITH OSD
ST63P06 STMICROELECTRONICS-ST63P06 Datasheet
329Kb / 7P
   8-BIT HCMOS PIGGYBACK MCUs FOR TV APPLICATIONS
ST7271 STMICROELECTRONICS-ST7271 Datasheet
3Mb / 84P
   8-BIT HCMOS MCUs WITH EEPROM AND TV/MONITOR DEDICATED FUNCTIONS
December 1993
ST6365 STMICROELECTRONICS-ST6365 Datasheet
861Kb / 84P
   8-BIT MCUs WITH ON-SCREEN-DISPLAY FOR TV TUNING
ST6380 STMICROELECTRONICS-ST6380 Datasheet
718Kb / 82P
   8-BIT MCUs WITH ON-SCREEN-DISPLAY FOR TV TUNING
logo
NXP Semiconductors
84C44X PHILIPS-84C44X Datasheet
267Kb / 38P
   8-bit microcontrollers with OSD and VST
October 1994
PCA84C640 PHILIPS-PCA84C640 Datasheet
236Kb / 40P
   8-bit microcontrollers with OSD and VST
1996 Nov 29
logo
STMicroelectronics
ST90E27 STMICROELECTRONICS-ST90E27 Datasheet
977Kb / 22P
   16K EPROM HCMOS MCUS WITH RAM
CD00001237 STMICROELECTRONICS-CD00001237 Datasheet
1Mb / 105P
   8-bit MCUs with A/D converter
ST62E25CF1 STMICROELECTRONICS-ST62E25CF1 Datasheet
1Mb / 105P
   8-bit MCUs with A/D converter
More results


Html Pages

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68


数据表 下载

Go To PDF Page


链接网址




隐私政策
ALLDATASHEETCN.COM
ALLDATASHEET是否为您带来帮助?  [ DONATE ] 

关于 Alldatasheet   |   广告服务   |   联系我们   |   隐私政策   |   链接交换   |   制造商名单
All Rights Reserved©Alldatasheet.com


Mirror Sites
English : Alldatasheet.com  |   English : Alldatasheet.net  |   Chinese : Alldatasheetcn.com  |   German : Alldatasheetde.com  |   Japanese : Alldatasheet.jp
Russian : Alldatasheetru.com  |   Korean : Alldatasheet.co.kr  |   Spanish : Alldatasheet.es  |   French : Alldatasheet.fr  |   Italian : Alldatasheetit.com
Portuguese : Alldatasheetpt.com  |   Polish : Alldatasheet.pl  |   Vietnamese : Alldatasheet.vn
Indian : Alldatasheet.in  |   Mexican : Alldatasheet.com.mx  |   British : Alldatasheet.co.uk  |   New Zealand : Alldatasheet.co.nz
Family Site : ic2ic.com  |   icmetro.com