数据搜索系统,热门电子元器件搜索
  Chinese  ▼
ALLDATASHEETCN.COM

X  

ST486DX 数据表(PDF) 6 Page - STMicroelectronics

部件名 ST486DX
功能描述  ST 486 DX ASIC CORE
Download  8 Pages
Scroll/Zoom Zoom In 100%  Zoom Out
制造商  STMICROELECTRONICS [STMicroelectronics]
网页  http://www.st.com
标志 STMICROELECTRONICS - STMicroelectronics

ST486DX 数据表(HTML) 6 Page - STMicroelectronics

  ST486DX Datasheet HTML 1Page - STMicroelectronics ST486DX Datasheet HTML 2Page - STMicroelectronics ST486DX Datasheet HTML 3Page - STMicroelectronics ST486DX Datasheet HTML 4Page - STMicroelectronics ST486DX Datasheet HTML 5Page - STMicroelectronics ST486DX Datasheet HTML 6Page - STMicroelectronics ST486DX Datasheet HTML 7Page - STMicroelectronics ST486DX Datasheet HTML 8Page - STMicroelectronics  
Zoom Inzoom in Zoom Outzoom out
 6 / 8 page
background image
ST 486 DX ASIC CORE
6/8
®
A range of bus master/bus slave/bus monitor
VHDL models are also available for high level 486
bus debug operations.
SYHTHESIS AND STATIC TIMING ANALYSIS
The Synopsys Design Compiler synthesis tool
family can be used for gate level synthesis and
static timing analysis. Interface to the back end
place and route engine allows for design specific
wire load models to be used during the synthesis
phase. Synopsys library views for the standard cell
libraries and high-level functional modules (such
as the ST486DX core) are used to perform static
timing analysis at block level, intra-block level and
at the top chip level.
Usage of Synopsys Test Compiler enables the
insertion
of full or partial scan and also IEEE
JTAG boundary scan insertion.
DELAY EVALUATION AND GATE LEVEL
SIMUATION
All cell timing information used for gate level
simulation and static timing analysis will be
generated by the SGS-Thomson proprietary delay
evaluator called EARLY. This suite of programs
evaluates
delays
using
operating
condition
information, input slope conditions and estimated
(pre-layout) parasitic capacitance or calculated
(post-layout) parasitic resistance and capacitance.
The output is in SDF format that can be annotated
into the gate level simulator and static timing
analysis tool.
Place and Route
This is performed on a module by module basis,
and then at the top level using the Cadence cell 3
layout tool. Interface to floorplanning tools (e.g.
Preview, ChipPlanner) will allow customisable wire
load models to be passed back to the synthesis
environment and also help in the reduction of
routing congestion which leads to smaller die size
and fewer iterations of the design post-layout.
RTL HDL
TES T CO MP ILE R
LOGIC SYNTHE SIS
FLOORPLAN
S YNOPSY S
SYNOPSY S
MANAG ER
GATE LEV EL SIMULATION
TSS I
BEHAVIOURA L
HDL
FLO OR PLANNING
BUS / MASTER MODEL
BUS FUNCTIONAL MODE L
MODEL SO URCE HARDWARE MO DEL
DELAY EVA LUATION AND
CLO CK TRE E SYNTHESIS
BLOCK LEVEL AND CHIP
LE VEL PLACE AND ROUTE
CELL 3
VHDL / Verilog
VHDL / Verilog
486 Views
Verilog Netlist
SDF
SDF
VHDL / Verilog
Wire Loads
& Placement data
VHDL/
Verilog
Co-simulation
Cadence
Leapfrog
Figure 4. Design Flow


类似零件编号 - ST486DX

制造商部件名数据表功能描述
logo
STMicroelectronics
ST486DX STMICROELECTRONICS-ST486DX Datasheet
156Kb / 34P
   PROGRAMMING MANUAL
More results

类似说明 - ST486DX

制造商部件名数据表功能描述
logo
ATMEL Corporation
TWI2 ATMEL-TWI2 Datasheet
73Kb / 4P
   32-bit Embedded ASIC Core Peripheral
ATL25 ATMEL-ATL25 Datasheet
232Kb / 14P
   ASIC
logo
Intel Corporation
UG80486DX4WB100 INTEL-UG80486DX4WB100 Datasheet
18Mb / 234P
   Intel486 DX MICROPROCESSOR
logo
Agilent(Hewlett-Packard...
PR7000-1421 HP-PR7000-1421 Datasheet
249Kb / 2P
   CFTR MASTR Dx
logo
Texas Instruments
NS486SXF TI1-NS486SXF Datasheet
482Kb / 46P
[Old version datasheet]   Optimized 32-Bit 486-Class Controller
logo
List of Unclassifed Man...
ET9000 ETC2-ET9000 Datasheet
2Mb / 46P
   486 WRITE BACK CACHE "AT" SINGLE CHIP
M1EN ETC1-M1EN Datasheet
44Kb / 6P
   CMOS ASIC encoder
CX5000 ETC1-CX5000 Datasheet
92Kb / 6P
   0.18um Structured ASIC
logo
ATMEL Corporation
ATC35 ATMEL-ATC35 Datasheet
110Kb / 14P
   Cell-based ASIC
ATC13 ATMEL-ATC13 Datasheet
143Kb / 12P
   Cell-based ASIC
More results


Html Pages

1 2 3 4 5 6 7 8


数据表 下载

Go To PDF Page


链接网址




隐私政策
ALLDATASHEETCN.COM
ALLDATASHEET是否为您带来帮助?  [ DONATE ] 

关于 Alldatasheet   |   广告服务   |   联系我们   |   隐私政策   |   链接交换   |   制造商名单
All Rights Reserved©Alldatasheet.com


Mirror Sites
English : Alldatasheet.com  |   English : Alldatasheet.net  |   Chinese : Alldatasheetcn.com  |   German : Alldatasheetde.com  |   Japanese : Alldatasheet.jp
Russian : Alldatasheetru.com  |   Korean : Alldatasheet.co.kr  |   Spanish : Alldatasheet.es  |   French : Alldatasheet.fr  |   Italian : Alldatasheetit.com
Portuguese : Alldatasheetpt.com  |   Polish : Alldatasheet.pl  |   Vietnamese : Alldatasheet.vn
Indian : Alldatasheet.in  |   Mexican : Alldatasheet.com.mx  |   British : Alldatasheet.co.uk  |   New Zealand : Alldatasheet.co.nz
Family Site : ic2ic.com  |   icmetro.com